Re: [PATCH 16/16] fix handling of integer constant expressions

[Date Prev][Date Next][Thread Prev][Thread Next][Date Index][Thread Index]

 



Al Viro wrote:-

> Egads...  After rereading that...  What a mess.
> 
> int foo(void)
> {
> 	static int a[1][0,2];
> }
> 
> is, AFAICS, allowed.  Reason:
> 	int a[0,2]
> is a VLA due to 6.7.5.2[4] (0,2 is not an ICE).  However, due to the language
> in the same section,
> 	int a[1][0,2]
> is *not* a VLA, since (a) 2 is an ICE and (b) its element type "has a known
> constant size" (it does - the value of 0,2 is certainly guaranteed to be 2).
> I.e., it's VM type, but not a VLA.  I.e. only the first part of 6.7.5.2[2]
> applies and we are actually fine.
> 
> 	So we can have a static single-element array of int [0,2], but
> not a plain static int [0,2].  Lovely, that...

DR 312 clarified the meaning of "known constant size"

   http://www.open-std.org/jtc1/sc22/wg14/www/docs/dr_312.htm

in the sensible way, thankfully, so your example is actually invalid.

Neil.
-
To unsubscribe from this list: send the line "unsubscribe linux-kernel" in
the body of a message to [email protected]
More majordomo info at  http://vger.kernel.org/majordomo-info.html
Please read the FAQ at  http://www.tux.org/lkml/

[Index of Archives]     [Kernel Newbies]     [Netfilter]     [Bugtraq]     [Photo]     [Stuff]     [Gimp]     [Yosemite News]     [MIPS Linux]     [ARM Linux]     [Linux Security]     [Linux RAID]     [Video 4 Linux]     [Linux for the blind]     [Linux Resources]
  Powered by Linux